Short Bio

Zhou Jin is an Associate Professor at China University of Petroleum, Beijing. She obtained her Bachelor's degree from Nanjing University in 2010, followed by her Master's and Ph.D. degrees from Waseda University, Japan, in 2012 and 2015, respectively. With expertise in Electronic Design Automation (EDA) for integrated circuits, her research focuses on AI-driven and hardware acceleration techniques for VLSI nonlinear circuit simulation, as well as the hardware-software co-design for linear algebra. She has published over 40 papers in top-tier international conferences and journals such as DAC, SC, PPoPP, TCAD, TODAES, TCAS-II, IPDPS and DATE etc. She received the Best Paper Award at SC '23, Honorable Paper Award at ISEDA '23, EDA2 Youth Technical Award and the IEEJ Kyushu Branch Award, etc. She also actively engages with the academic community by serving as a Technical Program Committee (TPC) member for conferences like DAC, SC, Cluster, ICPP, and as a reviewer for esteemed journals including TCAD, TODAES, TVLSI, and TCAS-II, etc.

Publications

  • [DAC '24] Mingjia Fan, Xiaoming Chen, Dechuang Yang, Zhou Jin, Weifeng Liu. ReCG: ReRAM-Accelerated Sparse Conjugate Gradient. 61st ACM/IEEE Design Automation Conference. 2024. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [DAC '24] Yinuo Bai, Enxin Yi, Wei Xing, Bei Yu, Zhou Jin. Unleashing the Potential of AQFP Logic Placement via Entanglement Entropy and Projection. 61st ACM/IEEE Design Automation Conference. 2024. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [DAC '24] Chenxi Li, Boyuan Zhang, Yongqiang Duan, Yang Li, Zuochang Ye, Weifeng Liu, Dingwen Tao, Zhou Jin. MASC: A Memory-Efficient Adjoint Sensitivity Analysis through Compression Using Novel Spatiotemporal Prediction. 61st ACM/IEEE Design Automation Conference. 2024. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [DAC '24] Mingyue Wang, Yuanqing Cheng, Yage Lin, Kelin Peng, Shunchuan Yang, Zhou Jin, Wei Xing. MAUnet: Multiscale Attention U-Net for Effective IR Drop Prediction. 61st ACM/IEEE Design Automation Conference. 2024. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [DATE '24] Zizheng Guo, Tsung-Wei Huang, Zhou Jin, Cheng Zhuo, Yibo Lin, Runsheng Wang, Ru Huang. Heterogeneous Static Timing Analysis with Advanced Delay Calculator. 21st Design, Automation and Test in Europe Conference. 2024. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [DATE '24] Yichao Dong, Dan Niu, Zhou Jin, Chuan Zhang, Changyin Sun, Zhenya Zhou. ISPT-Net: A Noval Transient Backward-stepping Reduction Policy by Irregular Sequential PredictionTransformer. 21st Design, Automation and Test in Europe Conference. 2024. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [DATE '24] Yinuo Bai, Xiaoyu Yang, Yicheng Lu, Dan Niu, Cheng Zhuo, Zhou Jin, Weifeng Liu. Efficient Spectral-Aware Power Supply Noise Analysis for Low-Power Design Verification. 21st Design, Automation and Test in Europe Conference. 2024. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [DATE '24] Zhou Jin, Tian Feng, Xiao Wu, Dan Niu, Zhenya Zhou, Cheng Zhuo. MSH: A Multi-Stage HiZ-Aware Homotopy Framework for Nonlinear DC Analysis. 21st Design, Automation and Test in Europe Conference. 2024. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [DATE '24] Pengju Chen, Dan Niu, Zhou Jin, Changyin Sun, Qi Li, Hao Yan. TSA-TICER: A Two-Stage TICER Acceleration Framework for Model Order Reduction. 21st Design, Automation and Test in Europe Conference. 2024. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [DATE '24] Enxin Yi, Yiru Duan, Yinuo Bai, Kang Zhao, Zhou Jin, Weifeng Liu. Cuper: Customized Dataflow and Perceptual Decoding for Sparse Matrix-Vector Multiplication on HBM-Equipped FPGAs. 21st Design, Automation and Test in Europe Conference. 2024. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [Euro-Par '24] Guofeng Feng, Hongyu Wang, Zhuoqiang Guo, Mingzhen Li, Tong Zhao, Zhou Jin, Weile Jia, Guangming Tan, Ninghui Sun. Accelerating Large-scale Sparse LU Factorization for RF Circuit Simulation. 30th International European Conference on Parallel and Distributed Computing. 2024. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [ASP-DAC '24] Zhou Jin, Wenhao Li, Yinuo Bai, Tengcheng Wang, Yicheng Lu, Weifeng Liu. Machine Learning and GPU Accelerated Sparse Linear Solvers for Transistor-Level Circuit Simulation: A Perspective Survey (Invited Paper). 29th ACM/IEEE Asia and South Pacific Design Automation Conference. 2024. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [ISEDA '24] Yang Li, Yongqiang Duan, Hao Zhang, Dan Niu, Xiao Wu, Zhou Jin. EMGA: An Evolutionary Memory Grouping Algorithm for MBIST. 2nd IEEE/ACM International Symposium of Electronics Design Automation. 2024[PDF] [Slides] [DOI] [Bibtex] [Code]
  • [ISEDA '24] Fuxing Deng, Yihang Feng, Dan Niu, Xiao Wu, Zhou Jin. SD-SSTA: Statistical Static Time Analysis Algorithm Considering Skewed Distribution. 2nd IEEE/ACM International Symposium of Electronics Design Automation. 2024[PDF] [Slides] [DOI] [Bibtex] [Code]
  • [ISEDA '24] Zhengfei Qi, Wanchao Wang, Chengxuan Yu, Dan Niu, Xiao Wu, Zhou Jin. UnetPro: Combining Attention with Skip Connection in Unet for Efficient IR Drop Prediction. 2nd IEEE/ACM International Symposium of Electronics Design Automation. 2024[PDF] [Slides] [DOI] [Bibtex] [Code]
  • [SC '23] Xu Fu, Bingbin Zhang, Tengcheng Wang, Wenhao Li, Yuechen Lu, Enxin Yi, Jianqi Zhao, Xiaohan Geng, Fangying Li, Jingwen Zhang, Zhou Jin, Weifeng Liu. PanguLU: A Scalable Regular Two-Dimensional Block-Cyclic Sparse Direct Solver on Distributed Heterogeneous Systems. 36th International Conference for High Performance Computing, Networking, Storage, and Analysis. 2023. Best Paper Award[PDF] [Slides] [DOI] [Bibtex] [Code]
  • [DAC '23] Tengcheng Wang, Wenhao Li, Haojie Pei, Yuying Sun, Zhou Jin, Weifeng Liu. Accelerating Sparse LU Factorization with Density-Aware Adaptive Matrix Multiplication for Circuit Simulation. 60th ACM/IEEE Design Automation Conference. 2023. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [DAC '23] Mingjia Fan, Xiaotian Tian, Yintao He, Junxian Li, Yiru Duan, Xiaozhe Hu, Ying Wang,  Zhou Jin, Weifeng Liu. AmgR: Algebraic Multigrid Accelerated on ReRAM. 60th ACM/IEEE Design Automation Conference. 2023. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [TCAD] Dan Niu, Yichao Dong, Zhou Jin, Chuan Zhang, Qi Li, Changyin Sun. OSSP-PTA: An Online Stochastic Stepping Policy for PTA on Reinforcement Learning. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD). 2023. [PDF] [DOI] [Bibtex] [Code]
  • [TCAS-II] Yichao Dong, Dan Niu, Zhou Jin, Chuan Zhang, Qi Li, Changyin Sun. Adaptive Stepping PTA for DC Analysis Based on Reinforcement Learning. IEEE Transactions on Circuits and Systems--II: Express Briefs. 2023. [PDF] [DOI] [Bibtex] [Code]
  • [ISEDA '23] Xiaru Zha, Haojie Pei, Dan Niu, Xiao Wu, Zhou Jin. Deep Learning Enhanced Time-step Control in Pseudo Transient Analysis for Efficient Nonlinear DC Simulation. 1st IEEE/ACM International Symposium of Electronics Design Automation. 2023. Honorable Paper Award[PDF] [Slides] [DOI] [Bibtex] [Code]
  • [PPoPP '22] Yuyao Niu, Zhengyang Lu, Haonan Ji, Shuhui Song, Zhou Jin, Weifeng Liu. TileSpGEMM: A Tiled Algorithm for Parallel Sparse General Matrix-Matrix Multiplication on GPUs. 27th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming. 2022. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [DAC '22] Zhou Jin, Haojie Pei, Yichao Dong, Xiang Jin, Xiao Wu, Wei Xing, Dan Niu. Accelerating Nonlinear DC Circuit Simulation with Reinforcement Learning. 59th ACM/IEEE Design Automation Conference. 2022. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [TODAES '22] Wei Xing, Xiang Jin, Tian Feng, Dan Niu, Weishen Zhao, Zhou Jin. BoA-PTA: A Bayesian Optimization Accelerated PTA Solver for SPICE Simulation. ACM Transactions on Design Automation of Electronic Systems. 2022. [PDF] [DOI] [Bibtex] [Code]
  • [ICPP '22] Haonan Ji, Huimin Song, Shibo Lu, Zhou Jin, Guangming Tan, Weifeng Liu. TileSpMSpV: A Tiled Algorithm for Sparse Matrix-Sparse Vector Multiplication on GPUs. 51st International Conference on Parallel Processing. 2022. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [ASP-DAC '22] Yufei Chen, Haojie Pei, Xiao Dong, Zhou Jin, Cheng Zhuo. Application of Deep Learning in Back-End Simulation: Challenges and Opportunities. 27th ACM/IEEE Asia and South Pacific Design Automation Conference. 2022. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [DAC '21] Jianqi Zhao, Yao Wen, Yuchen Luo, Zhou Jin, Weifeng Liu, Zhenya Zhou. SFLU: Synchronization-Free Sparse LU Factorization for Fast Circuit Simulation on GPUs. 58th ACM/IEEE Design Automation Conference. 2021. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [IPDPS '21] Yuyao Niu, Zhengyang Lu, Meichen Dong, Zhou Jin, Weifeng Liu, Guangming Tan. TileSpMV: A Tiled Algorithm for Sparse Matrix-Vector Multiplication on GPUs. 35th IEEE International Parallel and Distributed Processing Symposium. 2021.[PDF] [Slides] [DOI] [Bibtex] [Code]
  • [GLSVLSI '21] Zhou Jin, Tian Feng, Yiru Duan, Xiao Wu, Minghou Cheng, Zhenya Zhou, Weifeng Liu. PALBBD: A Parallel ArcLength Method Using Bordered Block Diagonal Form for DC Analysis. 31st ACM Great Lakes Symposium on VLSI. 2021. [PDF] [Slides] [DOI] [Bibtex] [Code]
  • [CCF THPC] Yuechen Lu, Yuchen Luo, Haocheng Lian, Zhou Jin, Weifeng Liu. Implementing LU and Cholesky Factorizations on Artificial Intelligence Accelerators. CCF Transactions on High Performance Computing. 2021. [PDF] [DOI] [Bibtex] [Code]
  • [IJPP '21] Haonan Ji, Shibo Lu, Kaixi Hou, Hao Wang, Zhou Jin, Weifeng Liu, Brian Vinter. Segmented Merge: A New Primitive for Parallel Sparse Matrix Computations. International Journal of Parallel Programming. 2021. [PDF] [Slides] [DOI] [Bibtex] [Code]